심벌 마크
유니온백과
통신
다운로드하기 Google Play
새로운! 안드로이드 ™에 유니온백과를 다운로드 할 수 있습니다
비어 있는
브라우저보다 빠른!
 

하드웨어 기술 언어

색인 하드웨어 기술 언어

전자공학에서 하드웨어 기술 언어(- 記述 言語, hardware description language)는 전자회로를 정밀하게 기술하는 데 사용하는 컴퓨터 언어이.

20 처지: AHDL, 루비 (프로그래밍 언어), 마이크로프로세서, 베릴로그, 디지털 회로, FPGA, 자바 (프로그래밍 언어), 전자 회로, 전자공학, 컴퓨터 언어, 파이썬, 프로그래밍 언어, 알테라, 하스켈, 아벨 (프로그래밍 언어), 아날로그 회로, JEDEC, OCaml, PLD, VHDL.

AHDL

알테라 하드웨어 기술 언어 (altera hardware description language, AHDL)는 복합 프로그래머블 논리 소자(CPLD)와 현장 프로그래머블 게이트 어레이(FPGA)를 프로그램 하기 위한 알테라 사의 자산 하드웨어 기술 언어 (HDL)이.

새로운!!: 하드웨어 기술 언어와 AHDL · 더보기 »

루비 (프로그래밍 언어)

비()는 마츠모토 유키히로가 개발한 동적 객체 지향 스크립트 프로그래밍 언어이.

새로운!!: 하드웨어 기술 언어와 루비 (프로그래밍 언어) · 더보기 »

마이크로프로세서

인텔 4004는 최초의 일반용, 상용 마이크로프로세서이다. 마이크로프로세서() 또는 초소형 연산 처리 장치(超小型演算處理裝置)는 컴퓨터의 중앙 처리 장치(CPU)를 말. 기계어 코드를 실행하기 위해 실행과정을 단계별로 나누어 처리를 위한 마이크로 코드를 작성하고, 이 마이크로 코드에 의해 단계적으로 처리하는 논리회로를 말. 초기의 마이크로프로세서는 하나의 칩으로 만들어졌으나, 점점 다른 하드웨어 요소들을 집적시키는 경향이 있. 따라서 CPU-코어라는 말로 다른 요소들과 구별.

새로운!!: 하드웨어 기술 언어와 마이크로프로세서 · 더보기 »

베릴로그

IEEE 1364로 표준화된 Verilog(베릴로그)는 전자 회로 및 시스템에 사용되는 하드웨어 기술 언어로, 회로 설계, 검증, 구현 등 여러 용도로 사용할 수 있. C 언어와 비슷한 문법을 가져서 사용자들이 쉽게 접근할 수 있도록 만들어졌.

새로운!!: 하드웨어 기술 언어와 베릴로그 · 더보기 »

디지털 회로

브레드보드에 구현한 디지털 회로 디지털 회로 (digital circuit)은 디지털 신호를 이용하는 전자 회로로, 아날로그 신호를 이용하는 아날로그 회로와는 반대되는 개념이.

새로운!!: 하드웨어 기술 언어와 디지털 회로 · 더보기 »

FPGA

알테라 사이클론2 현장 프로그래머블 게이트 어레이 FPGA(field programmable gate array, 필드 프로그래머블 게이트 어레이)는 설계 가능 논리 소자와 프로그래밍가능 내부선이 포함된 반도체 소자이.

새로운!!: 하드웨어 기술 언어와 FPGA · 더보기 »

자바 (프로그래밍 언어)

자바()는 썬 마이크로시스템즈의 제임스 고슬링(James Gosling)과 다른 연구원들이 개발한 객체 지향적 프로그래밍 언어이.

새로운!!: 하드웨어 기술 언어와 자바 (프로그래밍 언어) · 더보기 »

전자 회로

BJT을 사용한 간단한 증폭회로. 전자 회로(電子回路, electronic circuit)는 능동소자로 구성된 전자 회로를 말. 회로 구성상, 능동소자만으로는 거의 회로 구성이 불가능 하므로 수동소자를 같이 사용.

새로운!!: 하드웨어 기술 언어와 전자 회로 · 더보기 »

전자공학

0805 사이즈의 저항을 납땜하고 있다. 전자공학(電子工學, electronics)은 구동력으로서 전력을 이용하는 구성장치, 시스템 또는 여러 장비(진공관, 트랜지스터, 집적회로, 프린트 배선 기판)들을 개발하기 위하여 전자들의 운동에 대한 영향과 행동에 대한 과학적 지식을 연구하는 공학의 한 분야이.

새로운!!: 하드웨어 기술 언어와 전자공학 · 더보기 »

컴퓨터 언어

언어(computer language)라는 용어는 컴퓨터와의 커뮤니케이션에 쓰이는 다양한 종류의 언어들을 일컫는 말로서, 흔히 사용되는 프로그래밍 언어라는 용어의 뜻을 확장한 용어이.

새로운!!: 하드웨어 기술 언어와 컴퓨터 언어 · 더보기 »

파이썬

이썬()은 1991년 프로그래머인 귀도 반 로섬(Guido van Rossum)이 발표한 고급 프로그래밍 언어로, 플랫폼 독립적이며 인터프리터식, 객체지향적, 동적 타이핑(dynamically typed) 대화형 언어이.

새로운!!: 하드웨어 기술 언어와 파이썬 · 더보기 »

프로그래밍 언어

C 프로그래밍 언어로 작성된 단순한 컴퓨터의 프로그램의 소스 코드. 컴파일되어 실행되었을 때 헬로 월드 메시지를 보여주고 있다. 구문 강조는 프로그래머들이 소스 코드의 요소를 인지할 수 있게 도움을 준다. 프로그래밍 언어는 컴퓨터 시스템을 구동시키는 소프트웨어를 작성하기 위한 언어이.

새로운!!: 하드웨어 기술 언어와 프로그래밍 언어 · 더보기 »

알테라

새너제이에 있는 알테라 본사 알테라 주식회사(Altera Corporation)는 프로그래머블 논리 소자의 선두 제조업체이.

새로운!!: 하드웨어 기술 언어와 알테라 · 더보기 »

하스켈

스켈 또는 해스켈()은 순수 함수형 프로그래밍 언어이.

새로운!!: 하드웨어 기술 언어와 하스켈 · 더보기 »

아벨 (프로그래밍 언어)

아벨(ABEL, Advanced Boolean Expression Language)은 하드웨어 기술 언어의 하나이자 프로그래머블 논리 소자 (PLD)를 프로그래밍하는데 사용되는 프로그래밍 언어와 관련된 설계 도구이.

새로운!!: 하드웨어 기술 언어와 아벨 (프로그래밍 언어) · 더보기 »

아날로그 회로

아날로그 회로는 아날로그 전기 신호를 처리하는 전자 회로이.

새로운!!: 하드웨어 기술 언어와 아날로그 회로 · 더보기 »

JEDEC

JEDEC 로고. JEDEC(Joint Electron Device Engineering Council) 솔리드 스테이트 기술 협회는 전자 산업의 모든 분야를 대표하는 미국 전자 산업 협회 (EIA)의 반도체 공학 표준체이.

새로운!!: 하드웨어 기술 언어와 JEDEC · 더보기 »

OCaml

OCaml(Objective Caml)은 Caml 프로그래밍 언어의 주요 구현체로서 Xavier Leroy, Jérôme Vouillon, Damien Doligez, Didier Rémy 등의 사람들이 1996년에 작성하였.

새로운!!: 하드웨어 기술 언어와 OCaml · 더보기 »

PLD

PLD의 다른 뜻은 다음과 같.

새로운!!: 하드웨어 기술 언어와 PLD · 더보기 »

VHDL

가산기를 표현하는 VHDL 소스. VHDL(Hardware Description Language)은 디지털 회로 및 혼합 신호(mixed-signal, 아날로그 신호 포함)를 표현하는 하드웨어 기술 언어이.

새로운!!: 하드웨어 기술 언어와 VHDL · 더보기 »

여기로 리디렉션합니다

하드웨어 기술언어.

나가는들어오는
이봐 요! 우리는 지금 Facebook에 있습니다! »